Description
This is only for end user. Please contact us if you have any questions. Subject to prior sale without notice. Appreciate your time!
| 1 | 0010-00938, ASSY ESC_RF 200MM FLAT DUAL ZONE EMXP |
| 2 | 0010-01183, APPLIED MATERIALS, ASSY, FILTER BOX, HT CATHODE, DPS |
| 3 | 0010-01196, APPLIED MATERIALS, HEATER ASSY, 8″ JMF LT BESC |
| 4 | 0010-01714, APPLIED MATERIAL,ASSEMBLY, CATHODE, 200MM FLAT CESC, IS |
| 5 | 0010-01714, APPLIED MATERIAL,ASSEMBLY, CATHODE, 200MM FLAT CESC, IS |
| 6 | 0010-01714, APPLIED MATERIAL,ASSEMBLY, CATHODE, 200MM FLAT CESC, IS |
| 7 | 0010-01922 / ASSY, BIASED ELECTRODE AC BOX / APPLIED MATERIALS AMAT |
| 8 | 0010-01933, APPLIED MATERIALS, ASSY,HEATER, 8″, HA12 LONG SHAFT COMMON |
| 9 | 0010-01944;AMAT, LAMP HEAD ASSEMBLY 200MM RADIANCE |
| 10 | 0010-04145; ASSEMBLY THROTTLE VALVE 300MM DUAL FLA |
| 11 | 0010-04451, Applied Materials, ASSY,JMF 200MM SUB ZERO BESC WELDMENT |
| 12 | 0010-04536, APPLIED MATERIALS, ASSEMBLY, ALL QUARTZ APPLICATOR, RPN ON |
| 13 | 0010-05856, Applied Materials, HEATER ASSY 300MM DUAL ZONE H17 D13 RIGI |
| 14 | 0010-05960, APPLIED MATERIALS, ASSY, CATHODE, 200MM FLAT CESC, IS, 5000 |
| 15 | 0010-06229, APPLIED MATERIALS, ASSY, ESC/FR, 200MM NOTCH, 197.5MM OD, D |
| 16 | 0010-07155, Applied Materials, ASSEMBLY, TOP MATCH, ULTIMA X 200MM, HDP |
| 17 | 0010-07815, APPLIED MATERIALS, ASSY, 300MM SLT ESC WITH CENTER TAP META |
| 18 | 0010-07946/ ASSY,ESC RF,200MM,FLAT,DZ,HI FLOW,OUTER/ APPLIED MATERIALS |
| 19 | 0010-08167, Applied Materials, 300mm Dual Zone Ceramic Heater |
| 20 | 0010-08733; MCA ESC JMF |
| 21 | 0010-10623, Applied Materials, ASSY, LIFT,EXZGECO |
| 22 | 0010-10957, APPLIED MATERIALS, ASSY DRIVE FC WXZ P5000 W/VITON |
| 23 | 0010-12860 / HEATER LIFT ASSY, DXZ / APPLIED MATERIALS |
| 24 | 0010-15210, 0010-34561 /200MM PCIIE, MCA GRV .1MM DEEP PUCK HV / AMAT |
| 25 | 0010-16858-001/ PEDESTAL, 300MM SDMCA FDR SLT ESC ASSY (0010-14204-001/ AMAT |
| 26 | 0010-18088 / 0100-02571;AMAT, OBSTHROTTLE VALVE ASSEMBLY, HDPCVD LOW K 200MM |
| 27 | 0010-18088 / ALUMINUM KRAMER FRAME / APPLIED MATERIALS AMAT |
| 28 | 0010-20440, APPLIED MATERIALS, ASSY, 5″ RF MATCH |
| 29 | 0010-20442, AMAT, ASSY, 8″ RF MATCH |
| 30 | 0010-21669 ,WITH MAG# 0010-21676 / VECTRA IMP SOURCE PVD / AMAT |
| 31 | 0010-21676; AMAT PVD ENDURA IMP VECTRA MAGNET |
| 32 | 0010-22043, APPLIED MATERIALS, ASSY PVD MAGNET |
| 33 | 0010-22156 /ASSY, BESC MOTORIZED LIFT/ APPLIED MATERIALS |
| 34 | 0010-22184, APPLIED MATERIALS, HEATER ASSEMBLY, 300MM MCA E-CHUCK |
| 35 | 0010-22400, Applied Materials, HEATER W/BEARING, BIASABLE, LOW TEMP |
| 36 | 0010-23677 / 300MM MCA SNNF LOW TEMP ESC 0010-23677-002 /APPLIED MATERIALS AMAT |
| 37 | 0010-24076, APPLIED MATERIALS, 300MM MCA SNNF LOW TEMP ESC |
| 38 | 0010-24076, APPLIED MATERIALS, 300MM MCA SNNF LOW TEMP ESC |
| 39 | 0010-24076CR / CU,REPAIRED E-CHUCK,TA,IESIP / APPLIED MATERIALS |
| 40 | 0010-27430, APPLIED MATERIALS, 12″ MCA E CHUCK, HI-TEMP, SNNF |
| 41 | 0010-27432 / HEATER PEDESTAL 0040-70176 / APPLIED MATERIALS AMAT |
| 42 | 0010-27983, APPLIED MATERIALS, 12″ PIB HT BESC D4 Assy |
| 43 | 0010-29710, APPLIED MATERIALS, ASSY, 300MM MCA E-CHUCK |
| 44 | 0010-30012, AMAT P5000 OPTIMA MC ROBOT |
| 45 | 0010-35779, Applied Materials, ASSY, MATCH, H-5/H-6 SOURCE, DPS POLY |
| 46 | 0010-37176 / ASSY ESC, 300MM LCA DPS/ APPLIED MATERIALS |
| 47 | 0010-37501 / DUAL VAPORIZER CABINET, PHOENIX, 300MM, GEMINI II/ APPLIED MATERIAL |
| 48 | 0010-38023 / ASSY, TI VIEW LID / APPLIED MATERIALS AMAT |
| 49 | 0010-40093, APPLIED MATERIALS, E-CHUCK PEDESTAL ASSY, 150MM SF, BIAS QC |
| 50 | 0010-42030-001 / HEATER PEDESTAL 300MM / APPLIED MATERIALS AMAT |
| 51 | 0010-53085-01 / HEATER PEDESTAL 200MM W/ESC REFURB ESIP-REPAIR 10-24456 / AMAT |
| 52 | 0010-56222, AMAT, CERAMIC, DUAL ZONE HEATER |
| 53 | 0010-59789 0040-82421 AMAT 300MM Producer Heater “New” |
| 54 | 0010-70751; AMAT, ASSEMBLY DELTA TEOS GAS BOX W/CHEMRAZ ORINGS |
| 55 | 0010-75004; AMAT; LEFT NARROW BODY LOADLOCK CHAMBER AND DOOR ASSEMBLY |
| 56 | 0010-75005; Applied Materials, RIGHT NARROW BODY CHAMBER AND DOOR ASSEMBLY |
| 57 | 0010-75533 / ASSY, HDP EXTENDED ROBOT / APPLIED MATERIALS AMAT |
| 58 | 0010-90812; AMAT, GAS PANEL |
| 59 | 0010-99060; GAS MODULE SDS (UNIT UFC 1660) |
| 60 | 0020-01995 / HY-11 MAGNET 300 MM ASSEMBLED WITH 0020-28491 / AMAT |
| 61 | 0020-090660, Applied Materials, CHAMBER BODY |
| 62 | 0020-26075; SHEILD UPPER 17.5” |
| 63 | 0021-50053/ LASER DIODE WITH F.O. CABLE MODEL 0129-7082-23S / APPLIED MATERIALS |
| 64 | 0021-76556; CUP SHUTTER 300MM PVD |
| 65 | 002-7090-04 / WAFER TRANSFER HANDLING ROBOT / BROOKS AUTOMATION II |
| 66 | 0032129-000 / PSF REAR CHANNEL ASSEMBLY W/ EXCHANGE / KLA TENCOR CORPORATION |
| 67 | 0040-02149, APPLIED MATERIALS, HEATER, COMMON 8″ LONG SHAFT ALN – HA12 |
| 68 | 0040-02986, Applied Materials, LASED, PEDESTAL, 300MM DUAL HE, HDPCVD |
| 69 | 0040-09699; AMAT, CHAMBER WXZ |
| 70 | 0040-18125, Applied Materials, AMAT, LASED, PEDESTAL, 125MM SF MIN |
| 71 | 0040-36236, Applied Materials, HEATER, TXZ 1500MM DMF |
| 72 | 0040-38105, 0040-37359, 0010-37784 Applied Materials Ceramic heater |
| 73 | 0040-44027, APPLIED MATERIALS, PREFLECTOR PLATE, PROBE INJECT, 300MM RAD |
| 74 | 0040-48334 AMAT, LASED PEDESTAL EXTENDED ESC, SNNF W WTM |
| 75 | 0040-48594R / 300MM E-CHUCK REPAIR / AMAT |
| 76 | 0040-61366, Applied Materials, CHAMBER, DI SEAL, METAL ETCH, EDGE GAS |
| 77 | 0040-78138 / PLATEN LOWER LK 300 MM / APPLIED MATERIALS AMAT |
| 78 | 0040-81156 / CHAMBER UPPER LINER 300MM DPS2 / APPLIED MATERIALS AMAT |
| 79 | 0040-83668/HOUSING TALL, 300MM TITAN PROFILER/APPLIED MATERIALS AMAT |
| 80 | 0040-87756 / UPPER CHAMBER LINER/ APPLIED MATERIALS |
| 81 | 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT |
| 82 | 0040-89016, Applied Materials |
| 83 | 0040-99859-001 / COIL, LID DPS2 CENTURA CENTRIS / APPLIED MATERIALS AMAT |
| 84 | 0041-02500 / PVD IMPLANT ENDURA 2 BLLK BATCH LOAD LOCK CHAMBER A ASSY / AMAT |
| 85 | 0041-49184 / CHAMBER LID FROM CENTURA CENTRIS / APPLIED MATERIALS AMAT |
| 86 | 0041-50966/ ASSY ESC CENTURA CENTRIS DPS2 / AMAT |
| 87 | 0045403-000 / LASER TRACKING AUTO FOCUS MODEL 8000 W/ EXCHANGE / KLA TENCOR |
| 88 | 0090-02783 / CNTL, FLEX CPCI CENTURA AP WITH CCM / APPLIED MATERIALS AMAT |
| 89 | 0090484-000/KLA TENCOR/1063178/COHERENT/INNOVA LASER POWER SUPPLY STARCII-AIT |
| 90 | 0090488-002 / AIT-HD-KLA CU AIT LASER / KLA TENCOR |
| 91 | 0090-77000, AMAT, ASSY SENSOR VAC PT-613 PM1 |
| 92 | 0090-90351 /WPRE A CHAS.PSU-SPEC 0190-90291/ APPLIED MATERIALS |
| 93 | 0090-90600 / CHAS MDL.FLOOD GUN CONT. / APPLIED MATERIALS AMAT |
| 94 | 0090-91248 /CHASS WIRED 8KW AMAG CONVERTER/ APPLIED MATERIALS |
| 95 | 0090-91436 / PRE ACCEL/AMAG CTRL CHASSIS / APPLIED MATERIALS AMAT |
| 96 | 0090-91717ITL / PLASMA FLOOD CHASSIS REV. G / APPLIED MATERIALS |
| 97 | 0100-77001, OBS ASSEMBLY PCB CONTROLLER I/O |
| 98 | 01-18-01120 / MVS CONTROLLER PRISM CONTROL / ULTRATECH 01-18-01120 MVS |
| 99 | 0190-00313; STATOR MAGLEV ROTATION |
| 100 | 0190-00969, EBARA ET300WS |
| 101 | 0190-05395 / TARGET,DIFFUSION BONDED,200MM SIP TA(4N5) 12.279″(0.250″T) / AMAT |
| 102 | 0190-05990 / CONTROLLER, MAGLEV ROTATION SYSTEM, 300M / APPLIED MATERIALS AMAT |
| 103 | 0190-07285, Applied Materials, MATCH, AUTO MATCH NETWORK, 13.56MHZ,3KW |
| 104 | 0190-07959 0190-08279 / 10KW 480VAC ENI DCG-200Z / APPLIED MATERIALS AMAT / ENI |
| 105 | 0190-09843 / RF GEN, 13.56MHZ, 1.25KW, 480VAC, COMDEL / APPLIED MATERIALS AMAT |
| 106 | 0190-12063 / SPECIFICATION, NK EG/EGA ROBOT, M.L., 14 / APPLIED MATERIALS AMAT |
| 107 | 0190-18116 / RF MATCH, LOW K CHAMBER, HDPCVD, ADVANCED ENERGY 3155031-014 / AMAT |
| 108 | 0190-21110 / POWER SUPPLY, DC 12KW W/CE MARK;AE 3152313-002B; MDX-L12M 650/ AMAT |
| 109 | 0190-21782, AMAT |
| 110 | 0190-23671, APPLIED MATERIALS, DC PWR SUPPLY, 20KW, 480VAC, 200VDC ARC TRIP LEVE |
| 111 | 0190-44075-001 / 3155301-006 CENTURA ETCH CENTRIS 300MM MATCH / APPLIED MATERIAL |
| 112 | 0200-01353, APPLIED MATERIALS, 150MM CERAMIC HEATER |
| 113 | 0200-02814 / DPS+POLY,ESC PUCK,MACHINED / APPLIED MATERIALS |
| 114 | 0215884-003 / SCD-XTR LIGHT SOURCE COMPLETE / KLA TENCOR CORPORATION |
| 115 | 02-259925-00 ASSY, BELL JAR, HI PWR, C3 SPD |
| 116 | 0242-00565 AMAT Kit, Slit Liner Door, Clamp Lid, Viton/C |
| 117 | 0242-12663 / FI SERVICE LIFT KIT / APPLIED MATERIALS AMAT |
| 118 | 0242-15424, 0010-07409; 200MM EMAX LID |
| 119 | 0242-24854 / KIT, GVDE, 200MM DPN / APPLIED MATERIALS AMAT |
| 120 | 0242-70220, 0010-13321R / ROBOT,P5000,DRIVE 8 / APPLIED MATERIALS AMAT |
| 121 | 0246-08709 / DPS2 ETCH CHAMBER 300MM ESC CATHODE RF HEATED DPS2/ AMAT |
| 122 | 0270-02530 / ASSY 300MM TOOL VIEW LID 0040-02290 / APPLIED MATERIALS AMAT |
| 123 | 0290-20094 VECTRA IMP CHAMBER, B101, AMAT ENDURA “REFURBISHED” |
| 124 | 03-83601-00 / W PCB, MOTHER / APPLIED MATERIALS AMAT |
| 125 | 0500-00300 / CONTROLLER, PYRAMID X-SCAN DOSIMETRY / APPLIED MATERIALS AMAT |
| 126 | 0952-0241-0001C / CLAMP ASSEMBLY 8 IN ESC KAPTON PCB / AXCELIS TECHNOLOGIES |
| 127 | 100 Horsepower FRP Chemical Fume Scrubber Blower Exhaust Fan to 90,000 cfm or 13 |
| 128 | 100 Horsepower FRP Chemical Fume Scrubber Blower Fan to 100,000 cfm or 12.5″ sp |
| 129 | 107821001/ ELECTRON FLOOD CONTROL UNIT/ VARIAN |
| 130 | 111025 /COMPUTER, INCA ENERGY, KLA EV300/ KLA |
| 131 | 1187-093732-11 / PCB ASSY / TOKYO ELECTRON TEL |
| 132 | 1187170 / SOURCE ASSY INTEGRAL ARC SLIT (MO) / AXCELIS TECHNOLOGIES |
| 133 | 12′ x 20′ Modular Clean Room; Class 10,000, ISO 7; HEPA Cleanroom Kit; Hard Wall |
| 134 | 13″ x 13″ x 13.5″ SEM Amray Field Emmission Scanning Electron Microscope |
| 135 | 1430-01 E19001300 / AIR BEARING E500 IMPLANTER WESTWIND / VARIAN |
| 136 | 15-00003-02 / C1 PLATE,TOP,PROCESS 150MM / NOVELLUS SYSTEMS INC |
| 137 | 15-046348-01 / CVD, DOME, LOW POWER, 300MM / NOVELLUS SYSTEMS INC |
| 138 | 152465 / BA ROBOT SIN T1-1630+1612 T2 -1536+1543 COS T1 / BROOKS AUTOMATION INC |
| 139 | 16′ x 32′ Modular Clean Room; Class 10,000, ISO 7; HEPA Cleanroom Kit; Hard Wall |
| 140 | 17000891 / ROTATION CONTROLLER ROT_32, HELIOS / MATTSON |
| 141 | 17000891 / ROTATION CONTROLLER ROT_32, HELIOS / MATTSON |
| 142 | 18-123472 / SOURCE ASSY,UV,AIR TIGHT / KLA TENCOR CORPORATION |
| 143 | 19-032015-01 / HEATER BLK,150MM 6″OD PINNED / NOVELLUS SYSTEMS INC |
| 144 | 1C-2106-002-0018 / QTZ CHUCK RIGHT SHORT COATED KDNS / DNS DAI NIPPON SCREEN |
| 145 | 1D10-150001-E1 / ELECTRODE UPPER (LD-D (HI-TEMP) (ES) / TOKYO ELECTRON TEL |
| 146 | 2 Parts Tools – Loaders w AITEC Robots. Looking for Swift Sale, pls make Offer |
| 147 | 20′ x 40′ Modular Clean Room; Class 10,000, ISO 7; HEPA Cleanroom Kit; Hard Wall |
| 148 | 2000370073 / MAIN CONTROLLER CPU AMAT UVISION 5 / EDCO TECHNOLOGIES |
| 149 | 2001 Cincinnati CL707 CNC Laser Cutting Fabrication 6×12 Table Size 3300 kW |
| 150 | 2006 Nutek Laser Marker NTM 4610-X |
| 151 | 2010 Coherent Omnibeam 500 Fabrication, Lasers Ref # 8038953 |
| 152 | 2010 Vitronics XPM2+ 820 Reflow Oven |
| 153 | 2011 ACE Kiss 103 Selective Solder Machine |
| 154 | 2011 Amada LC3015 F1NT CNC Laser Cutting Fabrication 5×10 Table Size 4kW |
| 155 | 2011 DEK Photon Screen Printer with Hawkeye |
| 156 | 2011 Koh Young KY 8030-2 3D Solder Paste Inspection machine |
| 157 | 2013 Glenbrook Jewel Box 70T Real Time X-ray Inspection System |
| 158 | 21016401116 / IND PC PII BOX CELERON A / APPLIED MATERIALS AMAT |
| 159 | 2187-207323-15 / MANIFOLD ASSEMBLY / TOKYO ELEC. |
| 160 | 219480 / HIGH VOLT HV UNIT PS15 FARNELL HIVOLT VARIAN / FARNELL |
| 161 | 2328331-01 / BC DRIVE & ELEVATING UNIT (BI) / LAM/SEZ |
| 162 | 2-39-63561 / SPC-2312-UC-A, 2-AE-J0238, ACU SK-2000 KOMATSU AIR CONDITION / DNS |
| 163 | 27-034212-00, 3155038-005B /RFG 3000 POWER SUPPLY / ADVANCED ENERGY |
| 164 | 27-290521-00 / GENERATOR, PLASMA, 3.0KW, LITMAS, 1.9-3.2MHZ / NOVELLUS |
| 165 | 29-0156 / OBJECTIVE, 15X / TROPEL |
| 166 | 29-0260 / OBJECTIVE LENS, 15X UV / ASET SYSTEM / KLA-TENCOR |
| 167 | 3 pre-owned from $11,900.00 |
| 168 | 300MM PVD Chamber Kit VERSION 1 / 2ND PVD CIP 0021-26896, 0020-91244 / TOSOH SET |
| 169 | 30601610300 / ITU DRIVE ASSEMBLY / APPLIED MATERIALS AMAT |
| 170 | 30712403000 / CDM3B ASSY / APPLIED MATERIALS AMAT |
| 171 | 30712500000 / OPAL MEC-2 ASSY / APPLIED MATERIALS |
| 172 | 30C63E-A002 / ROBOT CONTROLLER AC 208V 1:2WIRE+PE 50/60 Hz 6.1A / KAWASAKI |
| 173 | 3152272-000 / MDX-L12 POWER SUPPLY 0190-70086 / ADVANCED ENERGY |
| 174 | 3152313-001A / POWER SUPPLY, DC 12KW W/CE MARK; MDX-L12M / ADVANCED ENERGY |
| 175 | 3155027-003D / RFG 1250, 660-024637-003 WITH CEX CONN. / ADVANCED ENERGY |
| 176 | 3155027-005 C , 660-024637-013/ RFG 1250 HALO POWER SUPPLY / ADVANCED ENERGY |
| 177 | 3155038-004A /RFG 3000 POWER SUPPLY ***REFURB / W CERT***/ ADVANCED ENERGY |
| 178 | 3155038-004A/ RFG-3000 / ADVANCED ENERGY |
| 179 | 3155051-010C / RF GENERATOR 13.6KV, RFG-5500 10.0KW / ADVANCED ENERGY |
| 180 | 322703C / 3 AXIS WAFER TRANSFER ROBOT / AXCELIS TECHNOLOGIES |
| 181 | 3620-01367, 340MCT LEYBOLD TURBO PUMP |
| 182 | 3839-000148-13/RP-500-13M(T04),180-220VAC 50/60 HZ,2KVA,PEARL KOGYO 500W/TEL |
| 183 | 387-00006-000 / PROBE, CONCEN, SOLIDS, ANALOG, 0.05-50 / CELERITY |
| 184 | 390361 / RDS223044 .2 METER MONOCHROMATOR/ENDPOINTDETECTOR / AXCELIS TECH |
| 185 | 3D Electronic Circuit Printing System (Direct Writing, Maskless Lithography) |
| 186 | 3D05-200234C11 / COVER, SCREW UEL D424 / TOKYO ELECTRON TEL |
| 187 | 3D10-100930-13 / ELECTRODE BTM 12Y HT-IST LIB / TOKYO ELECTRON TEL |
| 188 | 3D10-250203-11 / RING,GND GROOVE,FG8 / TOKYO ELECTRON TEL |
| 189 | 3D39-050149-41 /CONTROLLER, MATCHING, RF (DAIHEN WMN-50H6)/TOKYO ELECTRON TEL |
| 190 | 3M87-058595-11 / STAGE HEATER ASSY 300MM,(ELPR NS)/TOKYO ELCTRON LIMITED TEL |
| 191 | 400110V0011 / TURBO PUMP MAG W 1300 / LEYBOLD VACUUM PRODUCTS INC |
| 192 | 45-110-2-0767-1 / EMS POWER SUPPLY 7A1 BEAM FILTER MAGNET SUPPLY / EMS VARIAN |
| 193 | 4-620-002 / PUMP FLUID FOR PHOTOLITHOGRAPHY MODEL HVP M610 / IDI HIGH VISCOSITY |
| 194 | 4L991-769AN/PREALIGNMENT2 DRIVE UNIT/NIKON |
| 195 | 4S586-957-7 / LINEAR MOTOR CONTROLLER MODEL CLSR-4590-N2CC-1 / YASKAWA ELECTRIC |
| 196 | 4S992-231 / INTERFEROMETER LASER POWER / NIKON |
| 197 | 500 Ton X 16′ 1980 Pacific Mo K500-16 Hydraulic Press Brake. Fabrication |
| 198 | 502910 / ENCL AOD DRV ASSY AIT2 17499-000 / KLA TENCOR |
| 199 | 50414710000 / HVU ASSY, G303/51, A1016640, ADVANCE HIVOLT / AMAT |
| 200 | 50416002200 / O2 SYSTEM UNIT ASSY (CMNB014ANT200) / APPLIED MATERIALS AMAT |
| 201 | 50416002300 /O2 SYSTEM UNIT ASSY / APPLIED MATERIALS |
| 202 | 50416002700 / EXCITE CD SEM SILICON GRAPHICS O2 SGI COMPUTER / APPLIED MATERIALS |
| 203 | 50416005200 / SGI O2 OFF-LINE ASSY / SILICON GRACPHICS |
| 204 | 50416900500 / IPU ASSY VER 4.0 / APPLIED MATERIALS AMAT |
| 205 | 50516000100 / G2 OCTANE ASSY / APPLIED MATERIALS AMAT |
| 206 | 516775 / AOD1+ DRIVER ASSY,AIT2 **WORKING ** / KLA 516775 AOD PCB |
| 207 | 52-0904-01 / 450 MHZ COMPUTER / KLA TENCOR CORPORATION |
| 208 | 5301 Applied Materials 03-83601-00 PCB Mother Board |
| 209 | 5304 Applied Materials 03-83601-00 PCB Mother Board |
| 210 | 538590 / PCB ASSY MATROX CORONA REV 6 8M CONFG AIT2, SLOT 1, WEXCHANGE / KLA |
| 211 | 58700015/TUBE QUARTZ RIPPLE OR CHAMBER 2800,3000 STEAG AST RTP* W/CERTS*/MATTSON |
| 212 | 60 Horsepower FRP Chemical Fume Scrubber Blower Exhaust Fan 40,000 cfm at 6″ sp |
| 213 | 676652 / LAMP MODULE #1 RH / APPLIED MATERIALS AMAT |
| 214 | 685-093578-002 Lam Research ISI Contr ,DAC,ADC,CPU NEW |
| 215 | 685-093578-003 Lam Research ISI Controller & CPU *TESTED* |
| 216 | 70031329 / I/O SIDE 1: 1 LH WHITE OAK / BROOKS AUTOMATION |
| 217 | 70031330/ I /O SIDE 1 : 1 RH WHITE OAK / BROOKS AUTOMATION INC |
| 218 | 710-650044-20 / DD ASSY PCB / KLA TENCOR |
| 219 | 710-659274-20 /PCB,PLL A/D CHANNEL ASSY-KLA/W EXCHANGE(0906RA0-PLAD_AD4 /KLA |
| 220 | 710-661726-00 / S.A.T. RANDOM DEFECT FILTER PCB BD 1 / KLA TENCOR |
| 221 | 710-661726-00 / S.A.T. RANDOM DEFECT FILTER PCB BD 1 W/EXCHANGE / KLA TENCOR |
| 222 | 715-069046-001 / LOWER ESC ASSY, LAM 2300 FLEX EX+ SERIES / LAM RESEARCH |
| 223 | 720-06884-000 / COLUMN CONTROL CHASSIS II (CCC II) WITH EXCHANGE / KLA TENCOR |
| 224 | 720-06888-000 /FOCUS CONTROL BOARD CHASSIS, KLA CUSTOM 81XX/KLA-TENCOR |
| 225 | 720-14688-00 / WIEN FILTER CONTROLLER CHASSIS / KLA TENCOR |
| 226 | 720-14688-00, WIEN FILTER CONTROLLER CHASSIS KLA-TENCOR |
| 227 | 737A131A-SPEC / PUMP W/K535 PS PN235C001A W/K535 CONTROLLER 235C102A / RICOR |
| 228 | 750-693047-002 / IMMECOR DUAL PENTIUM RAID IPC CE400 / KLA TENCOR |
| 229 | 77-604-0400450-00 / WAFER-CHUCK/GROUNDER (PN WEGYA0-78131012) / HMI |
| 230 | 780143418 / ASSEMBLY,ION PUMP,GUN-FIELD EMISSION / JEOL USA, INC |
| 231 | 780-688026-001 / KLA 21XX THETA STAGE ASSY EXCHANGE / KLA TENCOR |
| 232 | 820-19059-000 / HARDWARE CONTROL INTERFACE PCB/ KLA TENCOR |
| 233 | 853-017520-242 / COMPUTER, ASSY PC ENVISION / LAM |
| 234 | 853-021876-001 / 4520I CHAMBER, UPPER, ISOTROPIC BELL / LAM RESEARCH CORPORATION |
| 235 | 853-492761-001 |
| 236 | 89429 / LEYBOLD MAG1600 TURBO PUMP / LEYBOLD VACUUM PRODUCTS |
| 237 | 900C0336-501 / PLATING POWER SUPPLY 4 ANODE CONFIGURATION RAIDER / SEMITOOL |
| 238 | 900C0337-501 / RAIDER BULK POWER SUPPLY CFD4 REV 2 / SEMITOOL |
| 239 | 9090-00801 A1031250 / PRE ACCEL POWER SUPPLY QUANTUM 3 / AMAT HITEK POWER |
| 240 | 9090-01162 / ENERGY CONTROL CHASSIS, PXP41L / APPLIED MATERIALS AMAT |
| 241 | 9090-01201 / BEAMLINE VACUUM CHASSIS / APPLIED MATERIALS |
| 242 | 95-3690 / MICROWAVE WAVEGUIDE ASSEMBLY PEP IRIDIA GERLING GL212 ASTEX / GASONICS |
| 243 | A19873-001/TRANSFORMER BOX OFT REV A/RUDOLPH |
| 244 | A45188 / LOWK FF MK3B LF / TRIKON/SPTS TECHNOLOGIES |
| 245 | ACCRETECH TSK UF 2000 Prober |
| 246 | ADT 7100 Vectus Wafer Dicer ADT Water Recycling System 927 & chiller |
| 247 | Advanced Energy 260,000 Watt Photovoltaic Inverter |
| 248 | ADVANCED ENERGY 27-034212-00 3155038-005B RFG 3000 POWER SUPPLY |
| 249 | Advanced Energy 3156115-704 RF Generator, 1021925, AE |
| 250 | Advanced Energy 3156115-704 RF Generator, 1021925, AE |
| 251 | Advanced Energy 3156115-704 RF Generator, 1021925, AE |
| 252 | Advanced Energy 3156115-704 RF Generator, 1021925, AE |
| 253 | Advanced Energy 3156115-704 RF Generator, AE |
| 254 | Advanced Energy AS102S-A Si(OC2H5)4 300SCCM |
| 255 | Advanced Energy ASTRAL bipolar pulsed dc power supply 1000v WITH pinnacle source |
| 256 | Advanced Energy RFG5500 |
| 257 | Advantest T2000 SoC Test System Untested As-Is |
| 258 | ADVANTEST T5781ES MEMORY TEST SYSTEM |
| 259 | AE 3155086-102, MATCH RF 12.56MH 2.5KW AZX 90 DOME, SUB, AMAT 1110-01063 |
| 260 | Agilent – Keysight B1500A Semiconductor Device Analyzer |
| 261 | Agilent (Keysight) HP 3173-II (HP 3070) Series II HP3070 HP3713 307x hp307x |
| 262 | Air Products AP11 3 bottle methane, methane, N2 purge, new cabinet, never used. |
| 263 | AKS ACCU-Kut P1560 CNC Plasma Cutting Table 5×20 Table Size HD-3070 1998 |
| 264 | Alcatel ATH 1000 M Turbo Pump w/ Alcatel ACT 1000 M Controller |
| 265 | Alessi Manual Probe Station Model REL 4100A – 14 Day Right of Return |
| 266 | Allsteel 1/4-10 Max Hydraulic Shear Fabrication 1/4″ Capacity 10′ Length |
| 267 | Allwin21 AccuThermo AW 610 Rapid Thermal Process System O2/N2 208V 3P 50A AW610 |
| 268 | AMAT 0010-01994 Rev.001, Magnet Assembly, PVD, Endura, Sputter Chamber |
| 269 | AMAT 0010-02342 Ceramic HEATER, CERMAIC ASSY, 8″ DXZ |
| 270 | AMAT 0010-02740, P-CHUCK ASSY, 150MM, CIP99 |
| 271 | AMAT 0010-03530 Assembly, Diamond Head Carrier |
| 272 | AMAT 0010-03530 Assy, Diamond C Head Carrier, ASM, 2492-038 |
| 273 | AMAT 0010-20030 Wafer Orienter Lift Assy w/ Chamber Lid, Notch Finder |
| 274 | AMAT 0010-21810 Magnet Assembly, G-12, Encapsulated, Durasource |
| 275 | AMAT 0010-21810 Magnet Assembly, G-12, Encapsulated, Durasource |
| 276 | AMAT 0010-21810 Magnet Assy, G-12, Encapsulated Durasource G Type |
| 277 | Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm |
| 278 | AMAT 0010-39337 Assy, Motor Driver Drawer |
| 279 | AMAT 0010-39736 Lid Assy Clamp, 0021-03277, 0040-39619 |
| 280 | AMAT 0010-40280 ROOF TOP, SUB-ASSEMBLY, CGF, DOS. |
| 281 | AMAT 0010-92209 Gas Module, SDS, Unit (8160MFC) N20 5 SCCM |
| 282 | AMAT 0040-01761 Endura Pre-clean Chamber Body, Sputter, PVD |
| 283 | AMAT 0040-09723 Unibody, Etch Chamber w/ .397 Step, Poly Trench |
| 284 | AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated |
| 285 | AMAT 0040-18024, E-CHUCK, 200MM, MKA, SNNF, CVD |
| 286 | AMAT 0040-31780 Base, Cooling 200MM Cathode, DPS MEC, Base Plate 5200 |
| 287 | AMAT 0040-41924 ESC ASSY, 200MM SNNF DPS HT CATHODE |
| 288 | AMAT 0040-61366 Metal Etch Chamber, DI Seal, Edge Gas, MXP+, Mark II |
| 289 | AMAT 0090-06456 Chamber Controller 0041-05806, AS00363-03 PCB 0090-02527 |
| 290 | AMAT 0190-16013 Exhaust Switching Unit TEC-05 Kit 1 & 2, ESC-C2-5-X7 |
| 291 | AMAT 0190-21122 Cobalt MZ Endura Target, 06-08132-00, 20-472D-C0000-1003 |
| 292 | AMAT 0190-77363 Module, Brush Liquid Delivery, Low Flow |
| 293 | AMAT 0200-35527 Heater Ceramic, NGK 200mm |
| 294 | AMAT 0220-21314, Preclean Chamber, D&H-SIN 014 |
| 295 | Amat 300mm CMP Megasonic unit |
| 296 | AMAT 3920-00249 Sensor Dry Nova, Xenon Illumination 510-20000-03 Assy |
| 297 | AMAT 9010-00505 Blade Drive Assembly +/-15 |
| 298 | AMAT 9010-00739 Focus Clamping Box Assy, 9010-00739ITL, 0090-91422ITL |
| 299 | AMAT APPLIED 0010-03361 MCA+ HTR ASSY, MCA’D JMF 8″ |
| 300 | AMAT Applied Materials 0010-05940 RH-3 Magnet RP Assembly Refurbished |
| 301 | AMAT Applied Materials 0010-13625 0010-70271 ASSY Weldment Heater 6″ & ASSY 101 |
| 302 | AMAT Applied Materials 0010-13627 0150-21692 Vectra-IMP RF Match Integration Kit |
| 303 | AMAT Applied Materials 0010-20331 Dual Degas Magnet Driver Working |
| 304 | AMAT Applied Materials 0010-24357 E-Chuck Heater Assembly Refurbished |
| 305 | AMAT Applied Materials 0010-42745 ASSY, HIGH EFFICIENCY RF MATCH – BIAS |
| 306 | AMAT APPLIED MATERIALS 0100-77001 REV P4 PCB ASSY I/O CONTROLLER |
| 307 | AMAT Applied Materials 0190-04213 HDPCVD A/C Distribution Box Centura Ultima |
| 308 | AMAT Applied Materials 0240-13020 KIT 300MM SINGLE BLADE ROBOT BEARING PM |
| 309 | AMAT Applied Materials 0240-42172 KIT, 300MM CORROSION RESISTANT DBR BEARING |
| 310 | AMAT Applied Materials 0240-63364 KIT, FX FOBOT DRIVER BOX |
| 311 | AMAT Applied Materials 0242-02294 RevB KIT EMPX+/MXP+OX,Simcat Com All Pos |
| 312 | AMAT Applied Materials 0270-02530 300mm TXZ View Lid Assembly New Surplus |
| 313 | AMAT APPLIED MATERIALS 150864-50-61 MIRRA CMP HEAD ASSY 200MM TITAN CONTOUR |
| 314 | AMAT Applied Materials 401493LCM1 Chamber 1 Endura PVD System Untested As-Is |
| 315 | AMAT Applied Materials 409473-PJ-ECH1 Chamber 3 CPI-VMO Untested As-Is |
| 316 | AMAT Applied Materials 40973 P4-ECH Chamber 4 CPI-VMO Untested Surplus As-Is |
| 317 | AMAT Applied Materials 413124R8-ENZCHD Chamber D CPI-VMO Working Surplus |
| 318 | AMAT Applied Materials 417631-P4-ECH4 Chamber 4 CPI-VMO Untested As-Is |
| 319 | AMAT Applied Materials 419047R3-EY-ZCHD CPI VMO Chamber D Spare |
| 320 | AMAT Applied Materials 422499-P4-ECH1 Chamber 1 CPI-VMO Untested As-Is |
| 321 | AMAT Applied Materials 912C0115-05 Tank Control I/O Block UNHTD 2 TKS SST ANALOG |
| 322 | AMAT APPLIED MATERIALS ELECTROSTATIC CHUCK PUCK ENDURA ASSY 0040-89792 |
| 323 | AMAT Applied Materials Precision 5000 Nitride PARC PECVD System P5000 |
| 324 | AMAT CENTURA DXZ CHAMBER |
| 325 | AMAT Centura Ultima X 0010-08687 HDP-CVD 300mm Chamber B 0010-11586 Working |
| 326 | AMAT Endura 3000mm PVD Chamber Assembly, Chamber 4 |
| 327 | AMAT ESC, TESTED, 150MM JMF, HDPCVD, ULTIMA #0040-18136 |
| 328 | AMAT EyeD OES End Point System, VERITY SD1024F Spectrometer |
| 329 | AMAT P5000 CVD |
| 330 | AMAT P5000 MarK II MXP POLY |
| 331 | AMAT PECVD CHAMBER BASEPLATE 208V 2000W CAST ALUMINUM PEDISTAL HEATER 0041-45761 |
| 332 | AMAT Ultima 200MM ESC PART#0040-18219 8inch |
| 333 | AMAT VHP Robot 300mm full set |
| 334 | AMAT, 0040-37359, HEATER CERAMIC 200MM TI-XZ |
| 335 | AMAT, Applied Materials, 0010-06598, Ceramic Heater |
| 336 | AMAT, Applied Materials, 0010-36408, RF match DPS |
| 337 | AMAT, Applied Materials, 0040-32205, Cathode Base 150mm |
| 338 | AMAT, Applied Materials, 0040-33701, Heater Block Insert Ultima 1.5 new |
| 339 | AMAT, Applied Materials, 0090-76133, PCB SBC Board, Synergy V452 |
| 340 | AMAT, Applied Materials, 0190-01371, Bias match AE, Ultima HDP CVD |
| 341 | AMAT, Applied Materials, 0200-36118, EDGE RING, 200MM WAFER, BATCH COATED |
| 342 | AMAT, Applied Materials, Centura 5200, CVD chamber 6″ config |
| 343 | AMAT, Applied Materials, PVD Endura Heater, 0010-17665 |
| 344 | AMETEK ROTRON HEAT EXCHANGER 2068-03 0195-06894 HEAT EXCHANGER W/FAN BELLMOUTH |
| 345 | AMR SEMI AUTOMATED WET BENCH Model # 5FT-APS-PVCC |
| 346 | Amtec XRF 940 V X-ray Fluorescence Coating Process Measuring System |
| 347 | ANELVA C7100 |
| 348 | Angstrom Sun Technologies Spectroscopic Ellipsometer |
| 349 | APC Symmetra MW 2000 kW Input/Output with Distribution |
| 350 | API LTS-1100 Laser Tracker II Plus – New 2005 – w/ accessories & software |
| 351 | Applied Materials (AMAT) 0010-22161 Assy, High EFF RF Match, HTESC PH 1 Rev A |
| 352 | Applied Materials (AMAT) Orienter Chamber 0010-93070 Centura/Endura/P5000 200mm |
| 353 | Applied Materials (AMAT) P5000 PECVD & Etch Back, 150mm Powers Up Working |
| 354 | Applied Materials 0010-09328 Teos Ampule, Assy AMAT P-5000 |
| 355 | Applied Materials 0010-20441 RF Match, PVD 6″ |
| 356 | Applied Materials 0010-20697 Dual Degas Driver, Assy. 200V AMAT PVD |
| 357 | Applied Materials 0010-20697 Dual Degas Driver, Assy. 200V Endura PVD |
| 358 | Applied Materials 0010-20764 PVD Driver 200V, Assy. AMAT PVD |
| 359 | Applied Materials 0010-36388 Lamp Module, Assy. DTCU DPS Poly AMAT |
| 360 | Applied Materials 0010-70131 Wafer Orienter, Assy. 8″ P-5000 AMAT |
| 361 | Applied Materials 0021-09601 DPS Metal Upper Chamber Body Assembly |
| 362 | Applied Materials 0021-09625 DPS Lower chamber Body. |
| 363 | Applied Materials 0290-09275 Version 4 Teos Hotbox Intel Precision 5000 |
| 364 | Applied Materials 200mm Ceramic Heater 0040-42512 |
| 365 | Applied Materials 3750-01112 TUBE PLASMA SOURCE SAPPHIRE 1/4VCR Astex AMAT |
| 366 | Applied Materials AMAT N2 Purge Manifold, 0190-12164 |
| 367 | Applied Materials Praxair Ampoule Bubbler, 0195-02636 |
| 368 | Applied Materials Precision 5000 Mark II CVD Teos/Nitride System (REFURBISHED) |
| 369 | APPLIED MATERIALS VERASEM 3D |
| 370 | Applied Materials, AMAT Mirra Mesa CMP Contoure Head 200MM |
| 371 | APPLIED MST MONOLAYER DEPOSITION PRODUCTION TOOL SAM-02 |
| 372 | Aqueous Technologies SMT 800 Batch Cleaner, REFURBISHED Machine, Parts Warranty |
| 373 | Aquila NKD 8000 thin film analyzer Metrology elipsometer |
| 374 | ASI PS C1180 / PULSE POWER SUPPLY, VIISTA VISION / VARIAN |
| 375 | ASM / ASTEX 1067-070-01 / AX7657-15 GENERATOR-FLUORINE 3KW ASTRON2L MDM IGN V |
| 376 | ASM AD896-06 DIE BONDER, 2006 VINTAGE |
| 377 | ASM Advanced Semiconductor Materials 2447053-01 ASSY Evaporator RC1 |
| 378 | ASM Assembly Automation BP300-HD Automatic Ball Placement System Spare |
| 379 | ASM LPT08 LED Taping and Packaging System |
| 380 | ASM MS100 Map Sorter |
| 381 | ASM MS100 Map Sorter (2011) |
| 382 | ASML 4022.428.1210, PAS 5000/2500 Main Switch Unit |
| 383 | ASML PAS 2500/40 WAFER STEPPER SYSTEM 150 MM/6” |
| 384 | ASML SERV.639.68471 |
| 385 | ASML SWS Complete E-Chuck 4022.456.06853 |
| 386 | ASSEMBLY, HEATER, 200MM MGF2 COATED, NGK, 0010-05190(0190-04207) |
| 387 | ASYMTEK FLUID DISPENSING SYSTEM |
| 388 | Asymtek Spectrum S-820B dispenser, dual valve mount, with DJ9000 Head REDUCED |
| 389 | Asyst Axys 21 Rob Silicon Wafer Robot Agilent +Power Supply & Pendant Controller |
| 390 | Asyst LPT Indexer 2200 9700-3260-01 |
| 391 | ATMI Guardian GS4 gas abatement scrubber burn box |
| 392 | Automatic Pick and Place Machine QM2100 |
| 393 | Automatic Stencil Printer – SMTmax |
| 394 | AX8560-3020 / GENERATOR,OZONE/ AX8560-3020 |
| 395 | Axcelis Eaton 8250 Ion Implanter Main Body Interface Module, 150MM |
| 396 | B 282876 / SOURCE RING ORANGE MACHINE 282876 01 01/ AXCELIS BURNING RING OF FIRE |
| 397 | Bachur & Associates Model: LS-150-5C2 NUV Exposure System |
| 398 | Bakma 38″ Chamber PECVD for DLC Thin Film Deposition Diamond Like Coating 5KW |
| 399 | Balzers 760 Box Coater. Looking for a swift sale, please make offer, Motivated |
| 400 | Balzers Bell Jar System. Looking for a swift sale, please make offer, Motivated |
| 401 | Balzers Sputter System. Looking for a swift sale, please make offer, Motivated |
| 402 | Beta Squared Lithography Inc. Micralign Projection Mask Aligner |
| 403 | BETTCHER PROCESS SERVICES A60RD |
| 404 | BETTCHER PROCESS SERVICES A72RS WET BENCH |
| 405 | BG4-6943 / ALS CD PCB ASSY / CANON |
| 406 | BG9-3502 /ACC-1/ CANON |
| 407 | Bi-Level Tilt Conveyor, Bi-Level Transport System Conveyor, Multi-Level Conveyor |
| 408 | BM30891 / QUEUE AEROTRAK ENET REV F/ BROOKS AUTOMATION INC |
| 409 | BMS-5-3000-VIS-E / 5KV POWER SUPPLY / UNIVERSAL VOLTRONICS |
| 410 | BMS-5-300-VIS / HIGH VOLTAGE POWER SUPPLY LEFT WAFER / UNIVERSAL VOLTRONICS |
| 411 | BOC Edwards ChemFill 1533SS Chemical Delivery System |
| 412 | Breuckmann Aicon Smartscan 3D-HE Blue 4Mpix |
| 413 | Brooks 9704-0955 Robot, 001-8672-01 Controller, Vacuum Transfer Module |
| 414 | Brooks 9704-0955 Robot, w/ 001-8672-01 Controller, Wafer Transfer, Cable |
| 415 | Brooks Automation 002-7090-10 AquaTran 7 Robot, Novellus |
| 416 | Brooks Automation 002-7090-10, AquaTran 7 Wafer Transfer Robot, ATRY |
| 417 | Brooks Automation 002-7090-10, AquaTran 7 Wafer Transfer Robot, ATRY |
| 418 | Brooks Automation 002-8500-41 Robot Transfer Module Chamber W/ Cassette Module |
| 419 | Brooks MAG 7 108000-37 Wafer Robot / Brooks Automation MagnaTran 7 108000-37 |
| 420 | BROOKS RAZOR 5 AXIS WAFER TRANSFER ROBOT with AEF end effector and controller |
| 421 | Bruce Technologies / BTU / BTI / BDF 41 Diffusion Furnace 150mm wafer processing |
| 422 | BTU Pyramax 98A SMT Reflow Oven, Excellent Condition, Edge Rail and Mesh |
| 423 | C124362 / GB3 WAFER HANDLING ROBOT/ GENMARK |
| 424 | Calypso Hammerhead 2 CNC Waterjet Cutting 5×10 Table Size 50 HP 60k PSI 2004 |
| 425 | Camalot FXD Adhesive/Glue Dispenser SMT/PCB Assembly – Speedline – used |
| 426 | Camalot Smart Stream Dispense Jet System Head Pump Xyflexpro + FXD SmartSteam |
| 427 | Cambridge Nanotech Model fiji F200 |
| 428 | Camtek Falcon PD 200mm Wafer Inspection System with Basler A201b Camera – As Is |
| 429 | CANON FPA-2000 i1 WAFER STEPPER, 150 MM, CRATED |
| 430 | CASCADE ALESSI REL-6100 |
| 431 | Cascade Microtech Form Factor MPS150 6″ inch RF Prober |
| 432 | Cascade Microtech REL 4800 Manual 8 inch Prober MH DC Probes Mitutoyo MicroScope |
| 433 | Cascade Microtech REL 6100 Manual 8 inch Prober MH DC & RF Probes Mitutoyo Scope |
| 434 | Cascade Microtech REL-4800 Manual Probe Station Heated Summit 12K Compatible |
| 435 | Cascade Microtech Summit 12000 Probe Station |
| 436 | CE440 /KLA COMPUTER, EV300/ KLA |
| 437 | Cencorp TR2100 Router |
| 438 | Centrotherm Clean Solutions Abatement System Model CT-BW OH300K4, LAM 9400 |
| 439 | CHA Industries SEC-1000 Dual Thermal Evaporator |
| 440 | CHA Industries SR-10 Vacuum Deposition Chamber Power Supply |
| 441 | Chamber Wide Body PVD, Shell, 0040-20195, Endura, IMP |
| 442 | CHEMGUARD CG 100 BACK PANEL |
| 443 | Chiller Air-cooled scroll high efficiency 74 Ton |
| 444 | CIC photonics IRGAS epitorr FTIR detect impurities in semi gas down <10 ppb amat |
| 445 | Class A spectra physics newport solar simulator 91195A w/ 69920 68945 controller |
| 446 | Clean Room for sale Modular CleanRoom Class 100 to 100,000 / ISO 5 to ISO 8 |
| 447 | Clean Room Modular CleanRoom Class 10 to 100,000 / ISO4 to ISO9 |
| 448 | Cleanroom for sale clean room class 10 to100,000 / ISO 4 to ISO 9 |
| 449 | Cleanroom for sale clean room class 10 to100,000 / ISO4 to ISO9 |
| 450 | Cleanroom for sale hard wall clean room class 100 -100,000 / ISO 5 – ISO 8 |
| 451 | Cleanroom for sale modular clean room class 100 -100,000 / ISO 5 – ISO 8 |
| 452 | COHERENT LASER K2K |
| 453 | Coherent VITESSE Laser Diode Set 5W Rudolph Metapulse MP MPII MPIII |
| 454 | Columbia 0310 Mechanical Shear Fabrication 3/16″ Capacity 10′ Length |
| 455 | Computer and Software Retrofit for PlasmaQuest RIE System Astex MKS |
| 456 | Control Micro 20W Fiber Laser 06036 |
| 457 | CORONA/8/E / PCI FRAME GRABBER PCB OUT OF (750-693047-002, IPC CE400) / MATROX |
| 458 | CSZ ZPHS-16-3 5-3 5-SC/AC |
| 459 | CTI-Cryogenics 8128903G004 High Capacity Helium Compressor Refrigeration System |
| 460 | CUSTOM SPUTTERING SYSTEM W/ 5 SPUTTERING SOURCE & 1 EVAPORATION SOURCE |
| 461 | CWxZ Ceramic Heater, 200MM, 0040-46818(ASSY 0010-10252) |
| 462 | CyberOptics KS50 PCB Inspection System w Conveyor and Manuals |
| 463 | CyberOptics SE300 3D SPI – Solder Paste Inspection (2008) |
| 464 | Dage 4000 Bondtester, BS250, TP5KG, BS5KG, Calibration Fixtures and more |
| 465 | Dage 4000 PAXY Bond Tester |
| 466 | Dainippon Screen DNS 200W Track STEPPER (ASML) INTERFACE MODULE, 200 MM |
| 467 | DDM Novastar ESS-500 Selective Soldering Machine |
| 468 | DEK ASM Galaxy Model 810 SMT Screen Printer hybrid, high end SMT |
| 469 | DEK Horizon 01iX Screen Printer with Hawkeye |
| 470 | DEK Horizon 02i Screen Printer |
| 471 | DEK Horizon 02i Screen Printer |
| 472 | DEK Horizon 02i Screen Printer |
| 473 | Delatech 859 CDO |
| 474 | Delta 3 Vitronics Soltec |
| 475 | Delta Design Summit ATC Thermal Pick Place Handler & Affinity PWG-060K-BE44CBD2 |
| 476 | Die-Sep TT-68-2-9 (11/2005) Die Set Mold Splitter Separator Capacity 20,000 LB |
| 477 | Disco Surface Planer / Planarizer DAS8920 — Accepting Best Offer |
| 478 | DNS Dainippon Screen 2ARFH883 Bake Box Parts Set of 2 New Surplus |
| 479 | DNS Screen DNS 80A Developer track tool, Developer Photoresist Chemical Cabinet |
| 480 | DNS WS-820L Clean Track, Dianippon Screen Porous Silicon Process w/BCDS included |
| 481 | DS1187-094316-11 / 76511 MANIFOLD / TOKYO ELECTRON |
| 482 | DS2124-000009-11 / MAGNETIC FLUID SEAL…MMVAHF 24 97 1 / TOKYO ELECTRON TEL |
| 483 | DS2143-000001 / HEATER,RIBBON..34136-C01-2993 / TOKYO ELECTRON TEL |
| 484 | DS2185-034186-12, A31#2185-034186-12, MANIFOLD BDY.8SEN-L-MS TOKYO ELECTRON |
| 485 | Dual Head Automatic Pick and Place Machine QM1500 |
| 486 | Dual Head Automatic Pick and Place Machine QM3000 |
| 487 | E11021410 / SYSTEM CONTROLLER ASSY,SOURCE, VIISON / VARIAN |
| 488 | E11022430 / SCAN AMPLIFIER / VARIAN |
| 489 | E11054630 / MUTLIPOLE POWER SUPPLY / VARIAN |
| 490 | E11054780 / FILAMENT POWER SUPPLY / VARIAN |
| 491 | E11054790 / CONTROLLER, VIISTA VISION / VARIAN |
| 492 | E11075901 / HIGH ENERGY CONTROLLER, E500/E220, VIISTA VISION / VARIAN |
| 493 | E11076241 /CONTROL BOX MULTI BANK, VIISTA VISION / VARIAN |
| 494 | E11076242 / CONTROL BOX MULTI BANK, VIISTA VISION / VARIAN |
| 495 | E11082230 / CRYO PURGE CONTROLLER / VARIAN |
| 496 | E11082301 / PLATEN AMPLIFIER VIISTA / VARIAN |
| 497 | E11087930 / COMMON IO ASSEMBLY / VARIAN |
| 498 | E11102760 / VARIAN LENS ASSY, HIGH ENERGY / VARIAN E11102760 (208) 982-1862 |
| 499 | E11124060 / VARIAN FLOOD GUN CONTROL POWER SUPPLY / VARIAN |
| 500 | E19007280 /HIGH VOLTAGE POWER SUPPLY REV F PS/EX070P025A/GLASSMAN HIGH VOLTAGE |
| 501 | E19299230 / HIGH VOLTAGE PULSE POWER SUPPLY DRIVER / VARIAN |
| 502 | E22000033 / FA, SCANMASTER II PWR SPLY MDL SM 300 / VARIAN / BROOKHAVEN 25520160 |
| 503 | E93013001 / A.E. 3155126-043 S31A-RF-A03-A ASSY, MATCHER RF NAVIGATOR / LAM |
| 504 | EDWARDS TURBOMOLLECULAR PUMP M/N STP-XW3503Y WITH VAT PENDULUM VALVE M/N 342943 |
| 505 | Ekra XACT X4 Screen Printer |
| 506 | EKRA XACT4 Screen Printer {ID: 6407} 2013 Automatic Screen Printer, 20×20″ board |
| 507 | Electroglas 2001CX Wafer Probe Station |
| 508 | ELECTROGLAS 4090 Prober Probe Station Tri-temp with TP3000 Chiller |
| 509 | Electrovert Aquastorm 200 |
| 510 | Electrovert Omni Excel 10 Reflow Oven |
| 511 | Ellipsometer Alpha SE |
| 512 | Emcore D125 MOCVD System [Works Great] |
| 513 | ENI Genesis GMW-25Z GMW25 RF Generator P/N GMW25Z-2D0F2N0-001, 2500W 2MHz |
| 514 | ENI OEM-12B3-08 / 0920-01061 |
| 515 | Enseco ETC15-73X150-3-3 Environmental Chamber |
| 516 | Envirotronics ESS Enviromental Stress Screening Test Chamber |
| 517 | Envirotronics ESS Enviromental Stress Screening Test Chamber |
| 518 | EPO810AF5-05, 1080-00054 / MIRRA MEGATORQUE DRIVE AMAT SET MOTOR & DRIVE / AMAT |
| 519 | Equilasers EDWS-15 edw laser welder welding station workstation yag |
| 520 | ERSA Hotflow 2/20 Reflow Oven – Flexible Profile Setup |
| 521 | ERSA Selective Solder Versaflow 40/50 |
| 522 | ES1D80-004612-14RB / ES1D80-004612-14R,STP-A2203W1-U PUMP R / TOKYO ELECTRON TEL |
| 523 | ES1D80-005356-14 / ETC ENI MW2-30 RF MATCH TEL UNITY IIE / TOKYO ELECTRON TEL |
| 524 | ES1D85-010782-13R / CHUCK-ESC;ES1D85-010782-13R,ESC,SCCM,ASS / TEL |
| 525 | ES3D80-000075-17/GHW-50 ENI GHW55A-13DF4L0-001 5500W 13.56 MHZ RF GENERATOR/TEL |
| 526 | ES3D87-002338-14 /DRM ESC 300 MM ELECTRODE BTM / TOKYO ELECTRON TEL |
| 527 | Esec 3088 Wire Bonder |
| 528 | Esec 3088 Wire Bonder |
| 529 | Espec ESS 72-210JW Environmental Stress Screening Test Chamber |
| 530 | ESSEC WIRE BONDER 3100 OPTIMA |
| 531 | EVG Z160603 4″ Bond Chuck Top w/ Z160543 Field Electrode, Z160603125 |
| 532 | EXCELLENT WORKING ANATECH PLASMA CLEANER SCE600 WITH ADIXEN ACP120 PUMP |
| 533 | Expert International CutEXPERT Ecojet, CNC Waterjet 9×10 Table Size 2015 |
| 534 | Expertech Thermco Maxibrute 150-200 mm single stack oxidation furnace |
| 535 | Flow M2-3120b CNC Waterjet Cutting System 6×10 Table Size 30 HP 55k PSI 2011 |
| 536 | Fong Sample Dyeing Machine 60 KG with Controller/Add tank |
| 537 | Fortix New Loading / Un-loading Systems for Solar / Flat Panel / Glass Qty 10 |
| 538 | Fortrend SCARA 155-022067-001 Robot Arm w/ Controller, 110 VAC 50/60Hz |
| 539 | FUJI CP6E-4000 |
| 540 | Furnace, Centorr Vacuum Industries |
| 541 | Gas Automation GmbH SAR-1000-B-CL PCB Depaneling Routing Machine MAKE OFFER!!!!! |
| 542 | GaSonics 9104 Quartz Chamber Plasma Asher 15698-02, ENI OEM-12B-06 RF Generator |
| 543 | GEN II MBE SYSTEM / REACTOR |
| 544 | GEN II MBE SYSTEM / REACTOR |
| 545 | GEN II MOD MBE SYSTEM / REACTOR |
| 546 | Genetix ClonePix FL Automated Clone Screening Colony Picker w/ Jun-Air OF302-10S |
| 547 | Genmark GB8-MT-98030074 Robot Wafer Assy, MGC 2234-MB3320 Motor Encoder |
| 548 | Glenbrook Technologies JewelBox 90C Real-time X-ray Inspection Systems |
| 549 | graco precision mix 2k plural component paint proportioner |
| 550 | GUZIK S1701A MICRO POSITIONING SPINDSTAND |
| 551 | Heinzinger TNQs 500 Power Supply, ASML 4022.430.0752 PAS 5000/2500 |
| 552 | Heller 1809 EXL Reflow Oven (2008) |
| 553 | Heller 1900W Reflow Oven |
| 554 | HIGH PRESSURE VACUUM COATING SYSTEM |
| 555 | Hitachi SEM-6000 Wafer System w/ S-6200 Microscope & Image Control STP Cabinets |
| 556 | HP 3070 Series 2 In Circuit Tester Agilent Hewlett Packard II SMT PC Board 3 III |
| 557 | Hughes 2470-V Palomar Automatic Wedge Wire Bonder Aluminum & Gold – Bad CMOS Bat |
| 558 | HU-M0-1M230-04-L / GAS ANALYZER DALSA PCB’S WITH EXHAUST AND SUPPLY / DALSA |
| 559 | HUTTINGER TYPE IS4-13560 |
| 560 | Inline PCB Laser Marker Simplimatic Automation Cimtrak Model: 3341 |
| 561 | Integrated INT1-APM1 300mm Wafer Cleaner Spin Rinse Dry Module H9/10 SRDi 451850 |
| 562 | Ionic Contamination Test System OmegaMeter 620 SMD |
| 563 | IPEC 676 |
| 564 | IPEC SpeedFam Novellus 2805-103891 Assy, Platen Spindle Cooled Polisher |
| 565 | IPEC, Model 372M Avanti CMP Automated Wafer Polishing System |
| 566 | IPG LASER YLP-V2-1-100-10-10 Ytterbium Fiber Laser |
| 567 | JDSU, 75mW ARGON LASER 2213-75TSLKTB, POWER SUPPLY 2113-75SLT, KLA TENCOR AIT |
| 568 | JEOL JSM-6400 F SEM, scanning electron microscope |
| 569 | JEOL JWS-7505 Wafer Inspection SYSTEM Scanning Electron Microscope, NORAN EDX |
| 570 | Jet Edge 60-80DS Mobile Waterjet Pump 60k PSI 2015 |
| 571 | Jonas & Redmann New Loading / Un-loading Systems for Solar / Flat Panel / Glass |
| 572 | JUKI FEEDER PACKAGE CF081ER CF03HPR AQ02HP 170 feeders total |
| 573 | Juki KE-2060RL Pick & Place (2007) + MTC |
| 574 | K&S 984-6 Precision Wafer Dicing Saw. |
| 575 | Karl Suss MA 200 Litho Mask Aligner 200mm Wafer 210AA057-03, Suss Microtec |
| 576 | Karl Suss MA4 Mask Aligner POWER TESTED SOLD AS IS ONLY Please Read |
| 577 | Karl Suss Mask Aligner BSA (Back Side Alignment) Microscope MA6/MA150 |
| 578 | Karl Suss Mask Aligner MA56 6″ Mask Aligner USA |
| 579 | KARL SUSS MICROALIGNER 6” TOP SIDE ALIGNMENT MA6 WITH VM-1220U |
| 580 | Karl Suss MJB-3 |
| 581 | Karl Suss RC8 THP 200mm Spin Coater & Progammable Hot Plate, CE Serial Plate. |
| 582 | Karl Suss/ Cascade Microtech PA200 8″ inch RF DC Prober Refurb 1 YEAR Warranty |
| 583 | Karl Suss/Cascade Microtech PM5 6″ inch RF ThZ Prober Refurburb 1 YEAR Warranty |
| 584 | KAWASAKI 3NS510B-D001 ROBOT NX5100270 |
| 585 | Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Cut Cables As-Is |
| 586 | Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Sheared Pin As-Is |
| 587 | Kawasaki NS110C-B001 Chuckbot 4K192-238-4 NSR-S307E 300mm DUV Used |
| 588 | Kawasaki NS110C-B001 Chuckbot Robot 4K192-238-3 NSR-S307E 300mm DUV As-Is |
| 589 | Kawasaki NS110C-B001 Chuckbot Robot 4K192-238-4 NSR-S307E 300mm DUV As-Is |
| 590 | Keyence – Deal Height Measurement KEY-01 |
| 591 | Kjellberg Plasma System HiFocus 440i neo. |
| 592 | KLA Corporation Particle Processor Circuit, 000000164453 |
| 593 | KLA Tencor 6420 Below Wafer Assembly 289132 Surfscan S6420 |
| 594 | KLA Tencor 750-370919-001 300UV Robot Arm Box w/ Plate, 750-059525-000 |
| 595 | KLA Tencor Inspex Eagle Patterned Wafer Laser Inspection System (AS-IS) |
| 596 | Kla-Tencor |
| 597 | KLA-TENCOR AIT UV DARKFIELD DEFECT INSPECTION SYSTEM |
| 598 | KLA-Tencor Candela CS 2 Surface Analyzer |
| 599 | KLA-Tencor Candela CS-10 Surface Analyzer |
| 600 | KLA-Tencor P 12 Disk Profiler |
| 601 | KLA-Tencor Surfscan 6200 (SFS6200) |
| 602 | KLA-Tencor Surfscan SP1 Wafer Scan Stage–RARE |
| 603 | KLA-Tencor Surfscan SP1/TBI Galvo Assy NEW |
| 604 | KOCAT INC. OZONE REMOVAL SYSTEM, MODEL CAT-VOC-SP-60-002 |
| 605 | Kokusai Diffusion Furnace 6” 4 Stacks |
| 606 | Komatsu KCR-1251 CNC Plasma Cutting Table 5×10 Table Size 120 Amps 2000 |
| 607 | KOSES LASER SEALING TOOL KLFS-201M KOS-01 |
| 608 | K-Space Associates Inc. kSA ICE Modules w/ Vortran 532-20 Laser diode module and |
| 609 | Kulicke & Soffa Model 4524 Ball Bonder |
| 610 | Kurmex V2000. Looking for a swift sale, please make offer, motivated |
| 611 | L6299-12 / EEW UNIT FOR SK-200, 80B / DNS DAI NIPPON SCREEN |
| 612 | LAM 02-389101-00 Robot Transverser Controller, 06-338505-00 23-338505-00 |
| 613 | LAM 4420 |
| 614 | LAM 79-374606-00 Dual Robot Arm, Wafer Transfer, PECVD, Novellus |
| 615 | LAM 853-021901-001 | 853-021876-001 4520I CHAMBER ISOTROPIC BELL ENI MATCHWORK |
| 616 | LAM Novellus Dual Robot Arm, Blade, Wafer Transfer, PECVD |
| 617 | LAM RESEARCH 853-025735-003/ TCP9600SE DSQ, MATCH, AUTOTUNE ASSEMBLY |
| 618 | Lam Research AutoEtch 590 / 594 Oxide Plasma Etcher, (4″) 100 MM, S/N 9059 |
| 619 | LAM Research Corporation KIT, RTRFT, DUAL POWER SUPPLY |
| 620 | Lam Research Model 590 Oxide Plasma Etcher, 150 MM, S/N 1766 |
| 621 | Lam Research P/N: 853-032190-006 / RF MATCH 9600 ASSEMBLY / REFURBISHED |
| 622 | Lam Research P/N: 853-330169-666 / ASSY, SPLIT UPPER CHAMBER SHAPED 9600 / NEW |
| 623 | Lambda Physik Excimer Laser L1-fbg |
| 624 | Laminator of coated film on 24″ Gen 2 glass with alignment mechanism |
| 625 | LANTECHNICAL SERVICE CO. LTD WAFER LAMINATION MACHINE GLOVEBOX |
| 626 | Large Lot of Stainless Steel High Vacuum Chambers Research Cryo Pumps Nice |
| 627 | Large PR coater (made in korea) |
| 628 | Litrex, Model 120 INKJET System, Printer. Ser: 12007-042. 200-250 Vac 5 Amps |
| 629 | Logitech PM5 polisher/Lapper |
| 630 | Lot AMAT Applied Materials DP-COMP CAGE ASSY 0090-A1230 7 Cards Power Control |
| 631 | Lot AMAT Applied Materials DP-COMP CAGE ASSY 0090-A1240 7 Cards Power Control |
| 632 | LPE2061 EPI Process Equipment |
| 633 | LTRA030-SBI-RUD / ROBOT TRACK LEANER S300-112 / PRI |
| 634 | LTX Fusion HF Test System |
| 635 | Lumonics Wafermark II |
| 636 | M-100 / OPTICAL FIBER TEMPERATURE CONTROL SYSTEM 100C AMAT 0190-03571 / LUXTRON |
| 637 | Magnescale BL55-005NEA5T01 Laserscale Amp with Read Head Nikon NSR-S620D Used |
| 638 | MAGNET ASSY 0010-20819 |
| 639 | Malema Sensors Reflexion Slurry 3-Line Retrofit System New IFC-7300-001 AMAT |
| 640 | Mania Technologe AOI Machine Set |
| 641 | MANNCORP CR-6000 6 Zone SMT Reflow Oven Great Condition |
| 642 | MARCH PLASMA CLEANER AP-1000 VERY NICE |
| 643 | march plasma P1000, used only 10 months by DOD, with stainless valves & chiller |
| 644 | MARCH XTRAK Plasma Treatment System |
| 645 | Markem U-1477 Semiautomatic Tray Marker Marking Machine |
| 646 | Material Research Corporation MRC 603-III 3-Target Side Sputtering Chamber As Is |
| 647 | Matrix 303 Etcher |
| 648 | Matrix Integrated System 10 Model 1107 TTW RIE Reactive Ion Etch Plasma 8″ Wafer |
| 649 | Matrix System One Etcher Motorola |
| 650 | Mattson Aspen III CVD Chamber w/ Heater Chuck + Chamber Top |
| 651 | MBRAUN MB VOH-600, MB VOV 2673 |
| 652 | MD-9201227 / TEL LHP HOT PLATE UNIT / TOKYO ELECTRON TEL |
| 653 | Metcal Apr 5000 XL (latest model) rework station APR-5000-XL |
| 654 | Metcal Apr 5000 XL latest model rework station APR-5000-XL ser.001534 |
| 655 | Microfocus CS100 90kv X-ray machine used in examination of electronic assemblies |
| 656 | Micromanipulator 6″Prober Mitutoyo Microscope Probe Station with Laser Cutter |
| 657 | Micromanipulator 6200 6 Inch RF Prober Probe Station Refurbished 1 Year Warranty |
| 658 | Micromanipulator 6200 prober,Refurb Free Ship,1 YEAR Warranty, University Discnt |
| 659 | Micromanipulator 8 inch 3000 Volt Prober Cascade Microtech Probes Refub Tek 371A |
| 660 | Micromanipulator Probe Station w/ Mitutoyo Microscope Head and Lenses |
| 661 | Mirtec MV-7L AOI Automated Optical Inspection SPI 3D Laser BGA Solder Paste CSP |
| 662 | Mirtec MV-7U AOI System |
| 663 | MIRTEC MV-7U AOI, MV 7 Series In-Line AOI Machine, Mirtec AOI |
| 664 | MITS AutoLab PCB Prototyping System With Camera w/9 tools |
| 665 | Mitsubishi CO2 Lazer Processing System – Lazer Cutting. Looking for swift sale. |
| 666 | Mitsubishi MWX3-612 CNC Waterjet Cutting 6×12 Table Size 40 HP 55k PSI 2012 |
| 667 | Mitsubishi MWX4-612 CNC Water Jet Machine |
| 668 | MJB-3 Mask Aligner |
| 669 | MKS AS1003-14 Chamber Controller AMAT 0090-06456, 0090-02527 PCB |
| 670 | MKS ASTeX OZONE Generator, AX8407A |
| 671 | MKS ENI C5002-05 RF Generator, SKW, 1.8-2.17 MHZ, LAM 660-124460-625 |
| 672 | MKS Ozone generator Model AX 8560 |
| 673 | MKS PICO LEAK DETECTOR MSVAH160000 MASS SPECTROMETER |
| 674 | Modutek Waste Collection Cabinet, 55 gallon drums, qty 3 capacity, new condition |
| 675 | MRC 822 Sputter System. Looking for a swift sale, please make offer, Motivated |
| 676 | MRC 943 Sputter System. Looking for a swift sale, please make offer, Motivated |
| 677 | MRC PVD Metal and PVD oxide Model 693 |
| 678 | MRL 150 mm single stack oxidation furnace |
| 679 | MRL 300mm 3 Zone Single Tube Semiconductor Furnace |
| 680 | MRL 4 Stack Horizontal Furnace, 200 mm, Soft Close, Rapid Cool, Sandvik Controls |
| 681 | MRL Sandvik Cyclone 430 diffusion furnace, loadstation, source cabinet, tmx |
| 682 | MTS 01 Acid Manual Wet Bench |
| 683 | MTS Solvent Bench |
| 684 | Multicam 3000 Series CNC Waterjet Cutting 6×12 Table Size 50 HP 60k PSI 2012 |
| 685 | Multicam 6-407-W-BR CNC Waterjet Cutting 8×16 Table Size 50 HP 60k PSI 2015 |
| 686 | MV Systems Dual Chamber PECVD for aSi/cSi/cGe Deposition |
| 687 | MyData MY12E Pick & Place + Hydra (2006) |
| 688 | Mydata MY19 SMT Placement System |
| 689 | MyData Pick and Place Machine MY12E |
| 690 | Nanometrics 7000-033895 IMPULSE Integrated Metrology OCD & Film Analysis System |
| 691 | Nanometrics Nanospec 2100 / Nanospec 210 AFT / Refurbished / 6 mo. wrty. |
| 692 | Neoden 4 PnP Machine w/ Vision, Manual Solder Printer and T5 Oven Included |
| 693 | Neoden 4 SMT Pick and Place Machine with Vision (pre-paid tariffs) |
| 694 | Neslab HX 750 Recirculating Chiller Thermo Fisher Scientific HX-750W TU-9 452369 |
| 695 | NESLAB STEELHEAD-0 |
| 696 | NEW ASM PN:16-180621B01 Gate Valve-Modified-Water Cooled VAT PN: 02112-AE44-AAJ1 |
| 697 | NEW BOC EDWARDS IGX 100N DRY VACUUM PUMP 200/230V 50/60HZ A53611958R AMAT |
| 698 | NEW edwards stp ixa4506C mag-lev turbo turbopump w controller 4300 L/s $71k list |
| 699 | NEW Hot Roll Laminator – 18”HRL – replaces Dynachem, DuPont HRL Western Magnum |
| 700 | NEW LISTINGOzone Sparge Unit/Ozone Generator |
| 701 | NEW LISTINGTyco AEP-12T 12 ton Electric press |
| 702 | New Logitech PP5A PP5D PP5GT / LP50 Polishing Jig / 1PP52 1PP55GT Chemlox |
| 703 | NEW NG-OK DUAL SMT Magazine Unloader |
| 704 | New Open Box! MKS R5027A 27MHz RF Generator – R5027A-A01 |
| 705 | NEW Preco Cold Web Laminator/Laminating & Rewind Converting Module Machine 21″ |
| 706 | New Tokyo Electron Vertical Diffusion Wafer Heater Heating Chamber VMU-40-009 |
| 707 | NEW Traverser Shuttle Conveyor, SMT Traverser Conveyor, Line Combiner Conveyor, |
| 708 | NEW vacgen VG scienta HPT-WX uhv vacuum Manipulator cryogenic heated $28k list |
| 709 | New Wave Accuscribe Titan Laser Scriber |
| 710 | New Wave Laser Accuscribe 2150 For Sale |
| 711 | New Wave Research AccuScribe 2112 Wafer Scriber Stage & Laser Enclosure |
| 712 | New Wave Research Laser System: Ezlaze 532. |
| 713 | New Wave Research Laser System: EZlaze Green – UV 532-355nm |
| 714 | NEWPORT ROI “DATA STAR” VISION METROLOGY SYSTEM |
| 715 | Niagara E110S OBI Punch Press Fabrication 120 Ton |
| 716 | Niagara E-250-S OBI Punch Press Fabrication 275 Ton |
| 717 | Nikon 2FE 2nd Fly’s Eye Lens SHRINC Revolver NSR-S204B Used Working |
| 718 | Nikon 2nd Relay Front Optic Lens NSR-S204B Step-and-Repeat System Used Working |
| 719 | Nikon 2nd Relay Rear Optic Lens NSR-S204B Step-and-Repeat Scanning Used Working |
| 720 | Nikon 300mm Pin Chuck 12 Inch NSR-S306C 300mm DUV Scanning System Used Working |
| 721 | Nikon 300mm Pin Chuck NSR-S205C Step-and-Repeat Exposure System Used Working |
| 722 | Nikon 4B991-187-1AN ARB Blinds Unit 4S013-311 4S013-312 NSR-S204B Untested As-Is |
| 723 | Nikon 4G746-090 AIS/BFP Plate NSR-S306C DUV Scanning System Used Working |
| 724 | Nikon 4G746-103 AIS/BFP Plate NSR-S307E 300mm DUV Scanning System Used Working |
| 725 | Nikon 4G746-103-2 AIS/BFP Plate NSR System Used Working |
| 726 | Nikon 4S066-021 MSZ Amplifier SPA373DC NSR 4S013-684-1 System Used Working |
| 727 | Nikon Ceramic Pin Chuck 12in 300mm NSR Step-and-Repeat System Used |
| 728 | Nikon Fly’s Eye Box MAN-D34R13B RH-8D-3006-E100D0 NSR-S307E DUV Scanning Used |
| 729 | Nikon Fly’s Eye Box MAN-D34R23B HEDS-5540 F14 RH-8C-3006-E100D0 NSR-S205C Used |
| 730 | Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR-S204B Used |
| 731 | Nikon K-ARX4B1 S-Pure Revolver IU-OSP NSR-S307E DUV Scanning System Used Working |
| 732 | Nikon KBB18530-00 WL3 Slider NSR-S204B Step-and-Repeat System 4S013-212-3 Used |
| 733 | Nikon KBB18530-00 WL3 Slider Rail NSR-S204B Step-and-Repeat System Used |
| 734 | Nikon L2A-AF Autofocus Unit for Eclipse L200A Microscope |
| 735 | Nikon NCPre2 Non-Contact Prealign 2 Unit NSR-S204B Step-and-Repeat System Used |
| 736 | Nikon Optiphot 66 Inspection Microscope Tag #01 |
| 737 | Nikon Reticle Fine Stage MSC NSR-S202A Step-and-Repeat System Used Working |
| 738 | Nikon Reticle Fine Stage MSC NSR-S205C Step-and-Repeat Exposure System Used |
| 739 | Nikon S37 Temperature Control TC Rack N6B-A NSR-S205C Copper Cu Working Spare |
| 740 | Nikon S-Pure Revolver NAILL Optics Platform Assembly 4S013-284 NSR-S204B Used |
| 741 | Nikon Wafer Loader Pre2 Detector VB-001 Unit NSR-S204B System Used Working |
| 742 | Nikon WL4 Slider Rail NSR-S205C Step-and-Repeat Exposure System Used Working |
| 743 | Nordiko Sputter System. Looking for a swift sale, please make offer, Motivated |
| 744 | Nordiko Sputter System. Looking for a swift sale, please make offer, Motivated |
| 745 | Nordson ASYMTEK S-820B, Batch Dispensing System (2011) – NEW UNUSED |
| 746 | Northern Plasma NV-1 CNC Plasma Cutting Table 6×12 Table Size 120 Amps 2012 |
| 747 | Novellus 79-343925-00 Robot Controller, LAM, 17-408302-00, 17-423264-00 |
| 748 | Novellus 79-397360-00 Robot Controller, 17-393320-00, LAM |
| 749 | OAI 2000SM EDGE BEAD REMOVER |
| 750 | OAI TriSol Solar Simulator 1-1.6 kW CIGS Constant Intensity Option 0130-0120-03 |
| 751 | Olympus TH3 with Olympus AL100-LM6 wafer loader. working Video to provide |
| 752 | OmegaMeter 700 SMD Ionic Contamination System |
| 753 | Osaka Vacuum TG1810 Compound Molecular Pump |
| 754 | Outsource, in-house with this fully automated system |
| 755 | Oxford Instruments 133 ICP with 380 Source – Ion Couple Plasma Etching System |
| 756 | Oxford Instruments 90 Plus RIE Etching System |
| 757 | Oxford Instruments Plasmalab 80 Plus Reactive Ion Etch System |
| 758 | Oxford instruments Ionfab 300 Plus Ion Beam etching & deposition system |
| 759 | OXFORD PLASMALAB SYSTEM 400 SPUTTER COATER |
| 760 | Ozone Sparge Unit |
| 761 | P24480-002 / COMPUTER DUAL PROCESSOR KONTRON / RUDOLPH |
| 762 | Parmi SPI HS60 Pattern Recognition Intelligence 3D Solder Paste Inspection HS60L |
| 763 | PD Burn-In System TESTECH 9400 |
| 764 | Perkin Elmer 2400. Looking for a swift sale, please make offer, motivated |
| 765 | Perkin Elmer 2400-8L. Looking for a swift sale, please make offer, motivated |
| 766 | Perkin Elmer 4400 Sputtering System |
| 767 | Perkin Elmer 4400, 3 target DC or RF magnetron sputtering system |
| 768 | Perkin Elmer PHI 670xi Scanning Auger Electron Microscope Nanoprobe System |
| 769 | Peter Walters Company AC 1200 Double Sided Lapper. Looking for swift sale. |
| 770 | PHI Lamination press 600 Ton |
| 771 | PHI Perkin Elmer Quantum 2000 ESCA Microprobe xray sem Auger SEM |
| 772 | Philips PD7405/08 DCD II HP Double Crystal Diffractometer DCDM 8” Mapping w SBC |
| 773 | Pick and Place Machine QM2000 |
| 774 | Pick&Place Surface mount Laser Chip, Array, Detector and Epoxy Dispenser Machine |
| 775 | Plasma Cleaning, Plasma treatment system, March plasma system, March AP-1000 |
| 776 | Plasma Cleaning, Plasma treatment system, March plasma system, March PX-1000 |
| 777 | Plasma Etch Chamber for 24″ Gen 2 glass |
| 778 | Plasma Etcher, Plasma etching system, March plasma system, March CS-170, RIE |
| 779 | Plasma Therm RIE Etching System |
| 780 | Plasma Therm Versaline RIE- Reactive Ion Etching System |
| 781 | PlasmaTherm RIE Computer and Software Retrofit / Upgrade (single chamber) |
| 782 | PlasmaTherm RIE Computer and Software Retrofit for systems with Load Arm |
| 783 | Plasmatherm RIE Etching System |
| 784 | Plasmatherm Versaline VLR PECVD Deposition System |
| 785 | Plating Rectifier for Electro Cleaning / 9 volts / 1000 amps / 2018 |
| 786 | Plating Rectifier for Hard Anodize / 55 volts / 1000 amps / 2014 |
| 787 | Plating Rectifier for Hard Anodize / 55 volts / 2000 amps / 2016 |
| 788 | PS/EX200P010EDR E19287200 /3A38 ACCELERATION POWER SUPPLY/GLASSMAN HIGH VOLTAGE |
| 789 | PS/LT040P050XX0 / HIGH VOLTAGE POWER SUPPLY 5980-0119-000/ GLASSMAN HIGH VOLTAGE |
| 790 | PS-500 AST Products, Plasma Science Plasma Surface Treatment System *FINANCING** |
| 791 | PS8098, 2-39-66234 / DC POWER SUPPLY BOX DC1 SK-2000/ DNS |
| 792 | PS8102 / DC POWER SUPPLY BOX 2-39-66235 SK-2000 W/EXCHANGE / DNS |
| 793 | Pusher Furnace |
| 794 | PV Wafer ID Laser Scriber / Reader System – IPESCAN005/IRIV03V00 |
| 795 | PWS P5MS, Pacific Western Systems Wafer Prober Assy, Probe II, 20, Controller |
| 796 | PWS P5MS, Pacific Western Systems Wafer Prober, Probe Controller II, 20 |
| 797 | QI Queensgate Instruments NS2300D Controller 4S288-213-1 NSR Used Working |
| 798 | Q-SUN XENON TEST CHAMBER XE-3-H |
| 799 | Quad 4c 4000c pick and place PPM WINDOWS 7 UPGRADE |
| 800 | Queensgate Instruments NS2601/B Controller PCB Card Nikon NSR-S620D Used Working |
| 801 | Queensgate NS2300/A Position Sensor 4S587-005 NSR-S205C System Used Working |
| 802 | Queensgate NS2300/A Position Sensor Unit Nikon 4S587-005 NSR-S307E DUV Used |
| 803 | Queensgate NS2300/D Position Sensor Unit Nikon 4S288-213 NSR-S205C Working Spare |
| 804 | Queensgate NS2300/D Position Sensor Unit Nikon 4S288-213-1 NSR-S307E DUV Used |
| 805 | Queensgate NS2303/A Position Sensor Unit Nikon 4S288-271 NSR-S307E DUV Used |
| 806 | R. HOWARD STRASBAUGH 6EC |
| 807 | R. Howard Strasbaugh 6UR-6 Polishing Machine |
| 808 | Rainbow Technology Systems Panda Coater Phototool Coating & UV Curing Machine |
| 809 | Rasco TBW-CD-71 Compact Chamber * Semi Tool TBWCD71 * Need to sell Best offer! |
| 810 | Refurbished CANON PLA-501F(A)(S) Mask Aligner |
| 811 | Refurbished HIRAYAMA PC422R8 HAST Chamber Installed and Running |
| 812 | Refurbished w/ONE-YEAR Warranty |
| 813 | Regenerative Desiccant air dryer/ Blower Purge |
| 814 | Rehm VXS 3150 Type 634 Nitrogen Reflow Oven |
| 815 | Rofin Coherent MPS 3 Axis Laser Welder Starpulse Sp150 Under Power Low Hours |
| 816 | Rofin EasyScribe F20 Laser Marker, Fumex FA2P Dust Collection Cabinet |
| 817 | Roll To Roll Lithography(made in korea) |
| 818 | Rudolph F30 300mm Wafer Mounter & Defect Inspection System Lintec Daikin Working |
| 819 | S705-673375-000 / FRU IMAGE PROCESSOR, DATA CUBE PCI / KLA TENCOR |
| 820 | SAES Getters PS4-MT50-R-1 MonoTorr UHP Helium/Argon/Xenon Rare Gas Purifier |
| 821 | Samco UV-1 UV Dry Cleaner 30A2527 UV Ozone Cleaner w/ Ozone Killer (6528) |
| 822 | Schmid Conveyor Oven Model 4k14-62C26-4A, 4 Zone Digital Controllers (reflow?) |
| 823 | SDI SPV Measuring Station 1010 from SemiConductor Diagnostics, Inc. |
| 824 | Seica Firefly SC 002 selective soldering machine |
| 825 | Seica S20 Flying Probe Tester |
| 826 | SELA EM2 Sample Preparation System. S/N: 5EM0501, Volts 110, Amps 8 (excellent) |
| 827 | Selective Solder Machine SS-600-i1, New Selective Soldering System, Lead Free |
| 828 | SEMES BAKE OVEN WITH THREE MODULES, SIX OVENS, TMC IFC BOARD, TMC, CABLES, 200MM |
| 829 | Semiconductor Diagnostics SDI 210, 210E-SPV, FAST, Wafer Measurement |
| 830 | Semiconductor Laser Flux and Organick Free Reflo/Soldering System |
| 831 | Semics Opus 2 Wafer Prober |
| 832 | SEMITOOL ALPHA TOOL |
| 833 | Semitool SST408 Solvent Chemical Stripping Resist Remover Tool, SST, 6″ |
| 834 | Shimadzu TMP-3403LMTC Turbo Molecular Pump Set w/ Control EI-D3403MT & Cables |
| 835 | Signatone 12 inch Probe Station Prober Cascade Microtech RF Probe 1 YR Warranty |
| 836 | Signatone 8 inch Prober for DC and TD Refurbishd 1 YEAR Warranty & Mitutoyo FS70 |
| 837 | Signatone CM200 prober,8″ Refurbuished New Wave & Research EZlaze 3 Green Laser |
| 838 | Simplimatic Automation Cimtrak PCB Destacker, Inverter, and Stacker SET |
| 839 | Simplimatic Automation Cimtrak PCB Laser Etch Marker Line |
| 840 | SIS-212 Heavy Duty Softwall Cleanroom. Modular Cleanroom. 18 X 18 |
| 841 | SMC WATER COOLED THERMO CHILLER HEAT EXCHANGER HRW008-H2-NYZ |
| 842 | SMT assembly line Juki KE-750, Juki KE-760, Dek Horizon i03 |
| 843 | SMT PCB Washer Cleaner, Batch Washer, Aqueous 1000LD Low Discharge SMT Series |
| 844 | SMT PCB Washer Cleaner, Batch Washer, Aqueous 1000LD Low Discharge SMT Series |
| 845 | SMT QMT-1100M Tape and Reel Packaging |
| 846 | SMT Stencil Printer Unique 18inch x 18 Printing System with Precision Adjustment |
| 847 | SMT Stencil Printer Unique Large Area Printing System with Precision Adjustment |
| 848 | Softwall Cleanroom. Terra Universal, Class 100K. 36′ x 18′ x 8′ with a 18′ x 14 |
| 849 | CyberOptics QX500 AOI 2010 inspection system in Excellent Condition |
| 850 | Sopra Ellipsometer GXR |
| 851 | SpeedFam 18B 5P Large (DSP) Double Sides Wafer Polisher |
| 852 | Speedline MPM Accela Screen Printer |
| 853 | Speedline MPM Momentum Elite Screen Printer |
| 854 | SPEEDLINE MPM SPM SPM-B |
| 855 | Spin-coater 24″ Gen 2 glass with lamination mechanism |
| 856 | SSEC 3308 Coat Developer – Dual Cassette to Cassette |
| 857 | SSEC Evergreen Series II 202 Spray Solvent |
| 858 | SSEC Model 3300 ML Trillenium Photomask Cleaner with Single Brush Scrub |
| 859 | SS-IH2-000 / HEATER BLOCK ALD INCONEL HEAT (TT8-IS05-IH2-006) / ZMISC |
| 860 | STC 3800 Edge Grinder. Looking for swift sale. |
| 861 | STC EP2600 Edge Grinder for 2”-6” Wafers |
| 862 | STP-H1301L1 / TURBOMOLECULAR PUMP / SEIKO SEIKI |
| 863 | STP-H1301L1B / TURBOMOLECULAR PUMP / SEIKO SEIKI |
| 864 | Strasbaugh 6BD-3. Looking for swift sale. |
| 865 | Strasbaugh 7AA Backgrinder |
| 866 | Sturtz Window Sash machining and cutting fabrication center |
| 867 | SVG Coat Develop Track 8836 HPO |
| 868 | SVG, Silicon Valley group wafer scrubber, |
| 869 | SVG90 S ASML Coat and Develop Track System 2C2D, 6 inch wafer, Photoresist Pumps |
| 870 | SWP-601 / WAFER BACKSIDE INSPECTION / MACTRONIX |
| 871 | T1101-01-000-14 / APD CRYOGENICS CRYO TIGER AQUA TRAP / APD CRYOGENICS |
| 872 | TAZMO SOG COATER CSX2132N |
| 873 | TECDIA TEC-3005KD Breaker |
| 874 | Techni Intec 612 CNC Waterjet Cutting System 6×12 Table Size 50 HP 60k PSI 2010 |
| 875 | Technical Instrument K2IND Microscope, |
| 876 | TECHNICS MIM-TLA20 Ion Miller w/ CTI CRYO-TORR 8 Pump |
| 877 | Technos Trex 610 T. Looking for swift sale. Motivated. |
| 878 | Tegal Endeavor AT PVD tool – SNAP IO SMC Controller for Process Module |
| 879 | TEL EPD – SE2000 Advanced OES Endpoint System |
| 880 | TEL EPD – SE2000 for Unity 2e/M – Included COM Board TYB-121 and COM Cable |
| 881 | TEL EPD – SE2000 Advanced OES Endpoint System – Verity SD1024D |
| 882 | TEL EPD – SE2000 – Verity SD1024D |
| 883 | TEL Tokyo Electron 3D10-100596-13 Depo Shield UED DT-40 |
| 884 | TEL Tokyo Electron Clean Track Lithius Coat Develop Lithography Tool As-Is |
| 885 | TEL Tokyo Electron LITHIUS PRO-Vi 300mm Immersion Clean Track Incomplete Parts |
| 886 | TEL Tokyo Electron MU SPA CE T2 Chamber CF,CN,-,CO Radical Process Reactor Spare |
| 887 | TEL Tokyo Electron RE3Z80-000318-11 E-SEAL, ILG 300 HT |
| 888 | TEL-EPD SE2000 Endpoint System For Unity 2e/M DRM |
| 889 | Temescal BJD-1800 BOX COATER with 8 Thermal Evaporation Sources |
| 890 | Tencor 0130033-000Timing Generator PCB KLA AIT Surfscan 6420 Surf |
| 891 | Tencor 273163 Particle Area Processor PCB KLA AIT Surfscan 6xxxSurf 0135243-000 |
| 892 | Tencor P-12 Disk Profiler |
| 893 | Tepla 300 Autoload PC Microwave Plasma |
| 894 | Teradyne Javelin 1004 Flying Probe Test Machine |
| 895 | TestEquity Model 123H Temperature/Humidity Chamber |
| 896 | Therma-Wave 5241 X-Y-Z Stage, Newport TWI 45-021480, 1302, 2601, Opti-Probe 5240 |
| 897 | Therma-Wave TP-400 Implanter Control System W/Mitsubishi FA3415ATK Color Display |
| 898 | Thermco 2404 diffusion furnace, 4 stack, 3 tubes active, furnace and source cab |
| 899 | Thermco 5204 diffusion furnace, loadstation, source cabinet, tmx |
| 900 | Thermionics Laboratory High Vacuum Wafer Transfer Module Chamber Robot Interface |
| 901 | Thermo VG Scanning Auger XPS/AES Microlab 350 microprobe |
| 902 | Thermo VG Scanning Auger XPS/AES Microlab 350 microprobe |
| 903 | Thermo VXR X-Ray Fluorescence Measurement System |
| 904 | Thermonics T2500E Temperature Forcing unit -80 to 225 C Calibrated |
| 905 | Thermotron WP1791 CH-25-25 Envoronmental Test Chamber |
| 906 | Thin Film Vacuum Chamber – Optical Coating, Various Application Capabilities |
| 907 | Thomas Swan EPITOR Epison II MOCVD 1×2 Quartz Reactor Lepel RF Heater Deposition |
| 908 | Thomas Swan EPITOR Epison II MOCVD 1×2 Quartz Reactor Lepel RF Heater Deposition |
| 909 | Tokyo Electron (TEL) 3D10-150018-11 PLATE, EXHAUST (FC400 D32) |
| 910 | Top Rated Plus |
| 911 | Trebor Quantum 144 QTM144V480AO4-A 480V Deionized DI Water Heater |
| 912 | Trion Minilock Reactive Ion Etcher RIE Dry Etcher |
| 913 | TWI 45-021480 / THERMA-WAVE 5241 X-Y-Z STAGE, 1302, 2601, OPTI-PROBE / NEWPORT |
| 914 | Tystar Mini Tytan 1600 Horizontal Furnace, 1 Stack, 150 mm, LPCVD, Complete |
| 915 | Tystar Tytan 8300 Horizontal Furnace, 3 Stack, 200mm, Used |
| 916 | UA035/131A / MEIDEN COMPUTER DUAL HD XP-PRO UR033/013A 164GB / MEIDEN |
| 917 | U-AFA2M-DUV / OLYMPUS MICROSCOPE ACTIVE AUTO FOCUS UNIT/ AMAT |
| 918 | Ultratech Stepper UltraStep 1500 Big Field 1:1 Projection Stepper System Wafer |
| 919 | Ulvac Technologies Enviro II Dual Chamber Advanced RF Strip System for 8″ Wafers |
| 920 | Ulvac XeF2 release etch cluster tool FRE-200E |
| 921 | Universal Genesis GI-14D SMT Pick & Place Machine |
| 922 | Universal Genesis GX-11S & PTF SMT Pick & Place |
| 923 | Universal Instruments 6772A MultiMod DIP Insertion Machine |
| 924 | Universal Instruments 6772A Multi-Mod DIP Insertion Machine DIP/DIP tooling |
| 925 | Universal Instruments Advantis 4982 AC72 PCB Assembler |
| 926 | Universal Instruments GSM 4681A Pick and Place Machine T-Block “Upgraded” |
| 927 | Universal Instruments GSM 4685A Pick and Place Machine |
| 928 | Universal Instruments GSM2 4688A Dual Beam Pick and Place Machine “Upgraded” |
| 929 | Universal Instruments GSMxs 5785A Advanced Semiconductor Pick and Place Machine |
| 930 | Universal Instruments GSMxs 5785A Advanced Semiconductor Pick and Place Machine |
| 931 | Used Cryo Elite |
| 932 | USED Gespac Component Bundle. Over 190 Boards and other components. |
| 933 | VAT 12146-PA24-AOI2/0471 Vacuum Gate Valve, Shaft Feedthrough |
| 934 | Veeco / Wyko NT 1100 Optical Profiling System |
| 935 | Veeco Bruker DI AFM Scanner Head Dimension 9000 Series DTIPX – Atomic Force |
| 936 | Veeco Bruker DI Dimension Hybrid XYZ Scanning Probe Microscope Head / AFM |
| 937 | Veeco Gen II MBE |
| 938 | VEECO GEN2 MBE SYSTEM Molecular Beam Epitaxy Installed and Running |
| 939 | Veeco MS-50 SC7 Helium Leak Detector |
| 940 | Veeco PVD System Control Cabinet |
| 941 | veeco spector iontech HBDG ion assist ion beam sputtering system IBAD thin film |
| 942 | VERTEQ ST800-41TL |
| 943 | Vi Technology 5K Series AOI Automated Optical Inspection |
| 944 | VIPER stage Assy / STAGE ASSEMBLY WITH CHUCK 150 200 MM 740-212473-000 / KLA |
| 945 | VITESSE / LASER FOR RUDOLPH 0178-639-52 / COHERENT LASERS |
| 946 | Vitronics Soltec XPM2 Reflow Oven, lead free reflow oven, vitronics reflow 2007 |
| 947 | VJ Electronix 2006 SRT Summit 1100 Hot Air BGA Rework Station |
| 948 | VPD5-500P Metal Board Depaneler |
| 949 | VPT EVAPORATOR DEPOSITION CHAMBER HIGH VACUUM EBEAM COATING SYSTEM |
| 950 | WAFAB International 72″ PVC-C Wet Bench |
| 951 | Wafer sorter, 2port wafer sorter, bluelabel2000, Wafer trasnfer Sorter |
ID-SS380EB
S
















